<< ld_constvecInt32 basic_ldblocks ld_delay >>

ORTD Scilab Interface Toolbox >> basic_ldblocks > ld_counter

ld_counter

A resetable counter block

Calling Sequence

[sim, out] = ld_counter(sim, events, count, reset, resetto, initial)

Description

count * - signal

reset * - signal

resetto * - signal

initial - constant

out * - output

increases out by count (out = out + count)

if reset &gt; 0.5 then

out = resetto

initially out is set to initial


Report an issue
<< ld_constvecInt32 basic_ldblocks ld_delay >>